Kodi kuchotsa malonda ku RaidCall?

Kukwanitsa kwina kwa Intel Core-series processors kungakhale kochepa kwambiri kusiyana ndi ka mpikisano kuchokera ku AMD. Komabe, cholinga chachikulu cha Intel ndicho kukhazikika kwa zinthu zake, osati zokolola. Choncho, ngati sichidutsanso bwino, mwayi wothetsa kwathunthu pulosesa uli wochepa kuposa wa AMD.

Onaninso: Momwe mungagwiritsire ntchito pulosesa kuchokera ku AMD

Tsoka ilo, Intel samawamasula ndipo sagwira ntchito mapulogalamu mothandizidwa ndi zomwe zingatheke kufulumira ntchito ya CPU (mosiyana ndi AMD). Choncho, tiyenera kugwiritsira ntchito njira zothandizira anthu.

Njira zowonjezera

Pali njira ziwiri zokha zomwe zingakuthandizireni kukonza mapulogalamu a CPU:

  • Pogwiritsa ntchito mapulogalamu apakatizomwe zimapereka mwayi wogwirizana ndi CPU. Ngakhale wosuta yemwe ali ndi kompyuta ndi "iwe" (malingana ndi pulogalamu) akhoza kuchilingalira.
  • Kugwiritsa ntchito BIOS - njira yakale ndi yovomerezeka. Mapulogalamu ndi zothandizira sangagwire ntchito molondola ndi zitsanzo za Core line. Pankhaniyi, BIOS ndiyo njira yabwino kwambiri. Komabe, sikuvomerezedwa kuti ogwiritsa ntchito osakonzekera apange okha kusintha kulikonse, kuyambira zimakhudza mmene kompyuta imagwirira ntchito, ndipo n'zovuta kubwezeretsa kusintha.

Timaphunzira kuyenerera kuti tisawonongeke

Sikuti nthawi zonse pulosesa ikhoza kuthamanga, ndipo ngati n'kotheka, m'pofunika kudziwa malire, mwinamwake pamakhala chiopsezo chochiletsa. Chikhalidwe chofunika kwambiri ndi kutentha, komwe sikuyenera kukhala pamwamba pa madigiri 60 pa laptops ndi 70 pa desktops. Timagwiritsa ntchito mapulogalamuwa a AIDA64:

  1. Kuthamanga pulogalamu, pitani ku "Kakompyuta". Ili pawindo lalikulu kapena menyu kumanzere. Kenako pitani ku "Sensors", iwo ali pamalo omwewo monga chizindikiro "Kakompyuta".
  2. Pa ndime "Kutentha" Mutha kusunga zizindikiro za kutentha kuchokera ku purosesa yonseyo, komanso kuchokera ku makina.
  3. Mukhoza kupeza malire okhudzidwa ndi CPU mu ndime "Kudula nsalu". Kuti mupite ku chinthu ichi, bwererani ku "Kakompyuta" ndipo sankhani chizindikiro choyenera.

Onaninso: Momwe mungagwiritsire ntchito pulogalamu ya AIDA64

Njira 1: CPUFSB

CPUFSB ndi pulogalamu yonse yomwe mungathe kuwonjezera mafupipafupi a ma CPU popanda mavuto. Zimagwirizana ndi mabokosi ambiri a maina, mapulogalamu ochokera kwa opanga osiyana ndi mafano osiyanasiyana. Ili ndi mawonekedwe ophweka komanso othandizira, omwe amasuliridwa kwathunthu mu Chirasha. Malangizo ogwiritsidwa ntchito:

  1. Muwindo lalikulu, sankhani wopanga ndi mtundu wa bokosi lamasamba m'minda ndi maina omwe ali kumanzere kwa mawonekedwe. Chotsatira, muyenera kuyika deta yokhudza PPL. Monga lamulo, pulogalamuyo imawafotokozera pawokha. Ngati iwo sanatsimikizidwe, ndiye werengani ndondomeko ya bolodi pa webusaiti yoyimilira ya wopanga, payenera kukhala deta yonse yoyenera.
  2. Kuwonjezera kumbali yakumanzere dinani pa batani. "Tenga nthawi zambiri". Tsopano kumunda "Mafupipafupi" ndi "Pitirizani" Deta yamakono idzawonetsedwa ponena za pulosesa.
  3. Kuti muthamangitse CPU, pang'onopang'ono kuonjezera mtengo m'munda. "Pitirizani" ndi unit limodzi. Pambuyo pa kuwonjezeka kulikonse, pezani batani "Sungani Nthawi Zambiri".
  4. Mukafika pa mtengo wokwanira, dinani pa batani. Sungani " kumanja kwa chinsalu ndi batani.
  5. Tsopano ayambitseni kompyuta.

Njira 2: ClockGen

ClockGen ndi pulogalamu yomwe ili ndi mawonekedwe ophweka omwe ali oyenera kuyendetsa ntchito ya operesesa a Intel ndi AMD a mndandanda wosiyanasiyana ndi zitsanzo. Malangizo:

  1. Atatsegula pulogalamuyi, pitani ku "PPL Control". Kumeneko, mothandizidwa ndi zotsika pamwamba, mutha kusintha mafupipafupi a pulosesa, ndipo mothandizidwa ndi otsika - mafupipafupi a RAM. Zosintha zonse zikhoza kuwonetsedwa mu nthawi yeniyeni, chifukwa cha gululo lomwe liri ndi deta pamwamba pa osokoneza. Tikulimbikitsidwa kusunthitsa osokoneza pang'onopang'ono, chifukwa Kusintha kwadzidzidzi pafupipafupi kungayambitse vuto la makompyuta.
  2. Mukamaliza kugwira bwino ntchito, gwiritsani ntchito batani "Sankhani Kusankha".
  3. Ngati mutayambiranso dongosololi, zoikidwiratu zonse zakonzanso, pita "Zosankha". Pezani "Ikani zoikidwiratu zamakono pa kuyambika" ndipo fufuzani bokosi patsogolo pake.

Njira 3: BIOS

Ngati muli ndi lingaliro loipa la zomwe BIOS ikuyendera ikuwoneka, ndiye njira iyi siyidakonzedwenso kwa inu. Apo ayi, tsatirani malangizo awa:

  1. Lowani BIOS. Kuti muchite izi, yambani kuyambitsa OS ndipo musanakhale mawonekedwe a mawindo a Windows, pezani fungulo Del kapena makiyi kuchokera F2 mpaka F12(kwa chitsanzo chilichonse, chinsinsi chothandizira BIOS chingakhale chosiyana).
  2. Yesetsani kupeza chimodzi mwa zinthu izi - "MB Intelligent Tweaker", "M.I.B, ​​Quantum BIOS", "Ai Tweaker". Maina angapangidwe ndipo amadalira mtundu wa mabokosi a mabodi ndi BIOS.
  3. Gwiritsani ntchito mafungulo kuti muziyenda "CPU Yang'anani Kudula Kwambiri" ndi kukonzanso mtengo "Odziwika" on "Buku". Kusintha ndi kusintha kusintha dinani Lowani.
  4. Tsopano mukuyenera kusintha mtengo mu ndime "CPU Frequency". Kumunda "Mphindi mu nambala ya DEC" Lowani chiwerengero cha chiwerengero kuyambira pazitali kufika pazitali, zomwe zikhoza kuwonetsedwa pamtunda.
  5. Sungani kusintha ndikuchotsani BIOS pogwiritsa ntchito batani "Sungani & Tulukani".

Zimakhala zovuta kwambiri kuwonjezera pa opaleshoni ya Intel Core kusiyana ndi kuchita chimodzimodzi ndi AMD chipsets. Chinthu chachikulu pakufulumizitsa ndikuyenera kukumbukira kuchuluka kwa kuchuluka kwa mafupipafupi ndikuyang'ana kutentha kwa mankhwala.