Maumboni owonjezereka a overclocking the processor

Kuphimba nsalu pulosesa ndi kophweka, koma kumafuna kudziwa ndi kusamala. Kuphunzira phunziro loyenera kumakuthandizani kupeza mphamvu zabwino, zomwe nthawi zina zimasoweka. Nthawi zina, mumatha kudula pulosesa kudzera mu BIOS, koma ngati chinthuchi chikusoweka kapena mukufuna kuchita zolakwika kuchokera pansi pa Windows, ndiye kuti ndi bwino kugwiritsa ntchito pulogalamu yapadera.

Chimodzi mwa mapulogalamu ophweka ndi apadziko lonse ndi SetFSB. Ndibwino kuti mutha kuwonjezera pa intel core 2 duo processor ndi zofanana zakale, komanso osiyanasiyana mapurosesa. Mfundo yogwiritsira ntchito pulojekitiyi ndi yophweka - imapangitsa nthawi zambiri kuti mabasi ayambe kugwira ntchito pochita chipangizo cha PLL chomwe chili mu bokosilo. Choncho, zonse zomwe mukufuna kuchita ndi kudziwa mtundu wa bolodi lanu ndikuwone ngati zili pandandanda wa zothandizira.

Koperani SetFSB

Onani thandizo la motherboard

Choyamba muyenera kudziwa dzina la bokosilo. Ngati mulibe deta yotereyi, yesani kugwiritsa ntchito mapulogalamu apadera, mwachitsanzo, pulogalamu ya CPU-Z.

Mutasankha mtundu wa gululo, pitani ku webusaitiyi ya pulogalamu ya SetFSB. Kupanga kumeneko, kuti uilembe mofatsa, sizomwe zili zabwino, koma zonse zofunika ndizo pano. Ngati khadi liri pamndandanda wa othandizira, ndiye kuti mukhoza kupitiriza kupitiriza ndi zosangalatsa.

Sakani Zinthu

Mapulogalamu atsopano a pulogalamuyi, mwatsoka, amalipidwa kwa anthu olankhula Chirasha. Muyenera kusungira pafupifupi $ 6 kuti mupeze code yokakamiza.

Pali njira ina - kutsegula machitidwe akale a pulogalamuyi, timapereka ndondomeko 2.2.129.95. Mungathe kuchita izi, mwachitsanzo, apa.

Kuyika pulogalamuyi ndikukonzekeretsa

Pulogalamuyi imagwira ntchito popanda kukhazikitsa. Pambuyo poyambitsa, zenera zidzawoneka patsogolo panu.

Kuti muyambe kudumphika, muyenera choyamba kudziwa jenereta yanu ya ola (PLL). Mwatsoka, sikuli kovuta kumuzindikira. Olemba makompyuta amatha kusokoneza dongosolo la machitidwe ndikupeza zofunikira zofunika pamanja. Deta iyi ikuwoneka motere:

Njira zozindikiritsira chipangizo cha PLL

Ngati muli ndi laputopu kapena simukufuna kusokoneza PC, ndiye kuti pali njira ziwiri zowonjezerapo kuti mupeze PLL yanu.

1. Pitani kuno ndipo muyang'ane laputopu yanu.
2. Ndondomeko ya SetFSB idzakuthandizani kutsimikiza za chipangizo cha PLL chomwecho.

Tiyeni tione njira yachiwiri. Pitani ku "tab"Kudziwa", mundandanda wotsika pansi"Jenereta yotsegula"sankhani"PLL matenda"kenako dinani"Pezani fsb".

Timagwa pansi m'munda "Ma Registers Control PLL"ndipo tiwone tebulo apo. Tikuyang'ana chigawo 07 (ichi ndi chiwerengero cha ogulitsa) ndikuyang'ana mtengo wa mzere woyamba:

• Ngati mtengo uli wofanana ndi xE - ndiye PLL kuchokera ku Realtek, mwachitsanzo, RTM520-39D;
• Ngati mtengo ndi x1 - ndiye PLL kuchokera ku IDT, mwachitsanzo, ICS952703BF;
• Ngati mtengo ndi x6 - ndiye PLL kuchokera ku SILEGO, mwachitsanzo, SLG505YC56DT;
• Ngati mtengo ndi x8 - ndiye PLL kuchokera ku Silicon Labs, mwachitsanzo, CY28341OC-3.

x ndi nambala iliyonse.

Nthawi zina zimakhala zosiyana, mwachitsanzo, kwa chips kuchokera ku Silicon Labs - pakali pano, Wotulitsa ID sadzakhala mu chigoba chachisanu ndi chiwiri (07), koma chachisanu ndi chimodzi (06).

Kuwombera chitetezo chokwanira

Mukhoza kudziwa ngati pali chitetezo cha hardware pa mawonekedwe a pulogalamu:

• yang'anani m'munda "Ma Registers Control PLL"pa tsamba 09 ndipo dinani pa mtengo wa mzere woyamba;
• yang'anani m'munda "Bin"ndipo mupeze nambala iyi yachisanu ndi chimodzi .. Chonde dziwani kuti kuwerenga kowerengera kuyenera kuyambira ndi imodzi! Choncho, ngati choyamba chokhala ndi zero, ndiye chidutswa chachisanu ndi chimodzi chidzakhala chiwerengero chachisanu ndi chiwiri;
• Ngati bititi chachisanu ndi chimodzi chikufanana ndi 1 - ndiye chifukwa cha overclocking kudzera SetFSB mumafunika hardware PLL mod (TME-mod);
• Ngati bititi chachisanu ndi chimodzi chimafanana ndi 0 - ndiye kuti pulogalamu yamakina siyenela.

Yambani kupitirira

Onse amagwira ntchito ndi pulogalamuyi idzapezeka pa tabu "Kudzetsa"M'munda"Jenereta yotsegula"sankhani chip yanu ndipo dinani"Pezani fsb".

Pansi pa zenera, kumanja, mudzawona kuchuluka kwa pulojekiti yamakono.

Tikukukumbutsani kuti kudumphika kumachitika ndi kuwonjezeka kwafupipafupi ya basi. Izi zimachitika nthawi iliyonse mukasunthira pamsewu kumanja. Zotsala zonse zotsala zimasiyidwa.

Ngati mukufunika kuonjezera mndandanda wa kusintha, onani bokosi pafupi ndi "Ultra".

Ndibwino kuti muwonjezere nthawi zambiri, 10-15 MHz panthawi.


Pambuyo pa kusintha, dinani pa key "SetFSB".

Ngati pambuyo pake PC yanu imawombera kapena imatseka, pali zifukwa ziwiri izi: 1) iwe umasonyeza PLL yolakwika; 2) kuwonjezeka kwambiri pafupipafupi. Chabwino, ngati chirichonse chitachitidwa molondola, mafupipafupi a pulosesa adzawonjezeka.

Kodi muyenera kuchita chiyani mutatha kubwerera?

Tiyenera kudziwa momwe kompyuta ikuyendera pafupipafupi. Izi zikhoza kuchitika, mwachitsanzo, mu masewera kapena mapulogalamu apadera oyesera (Prime95 kapena ena). Komanso, yang'anani kutentha, kuti mupewe kutsekemera kotentha kwambiri pansi pa katundu pa pulosesa. Mogwirizana ndi mayesero, yendani pulogalamu yowunika kutentha (CPU-Z, HWMonitor, kapena ena). Mayesero apangidwa bwino pafupifupi 10-15 mphindi. Ngati chirichonse chikugwira ntchito molimba, ndiye kuti mutha kukhala pafupipafupi kapena mupitirize kuwonjezerapo pochita zochitika zonse pamwambapa mwanjira yatsopano.

Kodi mungatani kuti PC iziyenda ndifupipafupi?

Muyenera kudziwa kale, pulogalamuyi ikugwira ntchito ndifupipafupi pokhapokha mutayambiranso. Choncho, kuti kompyuta nthawi zonse iyambe ndi maulendo atsopano a basi, ndikofunikira kuyika pulogalamuyi kuti ikhale yosungira. Izi ndizofunikira ngati mukufuna kugwiritsa ntchito makompyuta anu opitirira pafupipafupi. Komabe, muzochitika izi sizikhala pokha pokha pokhazikitsa pulogalamu ya "Kuyamba" foda. Pali njira yochitira izi - kulenga bat-script.

Amatsegula "Notepad", pamene tidzalenga script. Timalemba mzere pamenepo, monga chonchi:

C: Desktop SetFSB 2.2.129.95 setfsb.exe -w15 -s668 -cg [ICS9LPR310BGLF]

ZOCHITIKA! MUSAMAGWIRITSE NTCHITO LIWIRI! Muyenera kukhala nalo lina!

Kotero, ife tikuzifufuza izo:

C: Desktop SetFSB 2.2.129.95 setfsb.exe ndi njira yopindulitsa yokha. Mukhoza kusiyanitsa malo ndi ndondomeko ya pulogalamuyi!
-m15 - kuchedwa kusanayambe pulogalamu (kuyesedwa mu masekondi).
-s668 - zosintha zowonjezera. Nambala yanu idzakhala yosiyana! Kuti muphunzire, yang'anani kumunda wobiriwira mu tabu ya Pulogalamuyo. Padzakhala ziwerengero ziwiri mu slash. Tengani nambala yoyamba.
-cg [ICS9LPR310BGLF] - chitsanzo cha PLL yanu. Deta izi mukhoza kukhala nazo zina! M'bakonzedwe lamakono ndikofunika kulowa muchitsanzo cha PLL monga momwe tafotokozera mu SetFSB.

Mwa njira, pamodzi ndi SetFSB yokha, mudzapeza ma fayilo a setfsb.txt, komwe mungapeze magawo ena ndi kuwagwiritsa ntchito ngati kuli kofunikira.

Pambuyo pa chingwe, sungani fayilo monga .bat.

Gawo lomalizira ndi kuwonjezera batani kuti muzisunga mwa kusuntha njirayo ku foda "Sakanizani"kapena pakukonzanso registry (njira iyi yomwe mudzaipeza pa intaneti).

Onaninso: Zida zina za CPU zowonongeka

M'nkhaniyi, tapenda mwatsatanetsatane momwe tingagwiritsire ntchito bwino ntchito pulosesa pogwiritsa ntchito pulojekiti ya SetFSB. Imeneyi ndi njira yopweteketsa mtima yomwe potsirizira pake idzakupatsani kuwonjezeka kwakukulu pa ntchito yogwira ntchito. Tikukhulupirira kuti mupambana, ndipo ngati muli ndi mafunso, funsani ku ndemanga, tidzawayankha.